Assertions: Difference between revisions

m
Line 21:
<lang 68000devpac>CMP.L #42,D0
BEQ continue
ILLEGAL ;jumps to Trap 4. Alternatively, other trap numbers could have been chosen with the "TRAP #" command.
continue:
; rest of program</lang>
1,489

edits